bannerbanner
  .Home > Program
Program

 

Time Topic Speaker Association
08:30 Registration
09:00 Opening & Welcoming Addresses Dr. Nicky Lu
Thomas Chen
Terry Tsao
Shozo Saito
TSIA/GSA
tsmc
SEMI
ISSM
09:10 keynote speech
Next Step for Taiwan Memory Industry
Stephen Su IEK
09:55 invited speech
Challenges in Moving to a 3D Semiconductor World
Shu-Wen Chang Mentor
10:25 Break
       
 Special Session — BigData Applications Venue ─ Ballroom B 10/F 
10:55 keynote speech
Big Data and Big Opportunities
Mahendra Tadikonda Intel
11:40 invited speech
A Methodology and Infrastructure for Variability Reduction using BigData
Kenneth Harris PDF
       
 Session — Engineering Excellence (I) Venue ─ Ballroom C 10/F 
10:55 Addressable test-chip compiler for test chip design automation and transistor/yield characterization David Ouyang U. Zhejiang
11:10 Methodology to Review Chamber Type Equipment Performance Pei Shan Leong  SSMC
11:25 Reliable Screening for Zero-Defect Quality improvement by Temperature Gradient Testing Qianyi Wang Toshiba
11:40 The 3-D Parallel Processor applied to Matrix Multiplication Karl Cheng Innotest
11:55 A Novel Test Module for Interconnect Diagnosis Enhancement and Quality Improvement in Daisy Chain Test Chung-Han Huang TSMC
12:10 Lunch (Ballroom-A)
 Special Session — BigData Applications Venue ─ Ballroom B 10/F
13:30 keynote speech
Predictive Analytics Applications in e-Manufacturing
Greg Whalen EMC2
14:15 invited speech
How Big Data helps to Improve the Efficiency & Productivity of Your Core Business
Li-Wen Chen Trend
14:45 invited speech
Big Data Analytics - Moving the Analytics to the Data
Deepak Ramanathan SAS
15:15 Break
15:45 invited speech ─ Eddy Lin IBM
16:15 invited speech
Semiconductor Manufacturing 3.0 Moving towards zero defect
Dan Somers SAP
16:45 invited speech
Integrated Semiconductor Data Mining Solution
Sahm Kim Microsoft
17:15 invited speech
Industry's First Manufacturing Intelligence Solution based on Big Data Technology
Tom Sonderman BISTel
       
 Session —  Fab Management Venue ─ Ballroom C 10/F 
13:30 Proactive Control of Engineering Operations and Lot Loadings of Product-mix and Re-entrant in Q-time Constraints Processes Naoto TOYOSHIMA U. Tsukuba
13:45 The art of sampling reduction K Hui TSMC
14:00 Matrix cluster system concept for 450mm manufacturing Fumitake Mieno SMIC
14:15 Two-Phase Simulation-Optimization for Vendor Selection and Order Allocation in a Solar Cell Manufacturer Chia-Yen Lee  NCKU
14:30 Material Receipting and Transference Operation Platform Optimization Kai Lun Lin UMC
14:45 Electric Facility Design through the Virtual Fab Simulator Shunichi Ono Toshiba
15:00 Wet Bench Chemical Usage Efficiency & Tool Productivity Sok Theng Tan SSMC
15:15 Break
15:45 Re-entrant Flow Control in Q-time constraints Processes for actual applications Akihiro KOBAYASHI U. Tsukuba
16:00 Optimal lot sizing for 3DIC products in backend manufacturing Yun Chu Chen TSMC
16:15 Bottleneck-Centric Pull and Push Allocation and Sequencing of Wet-bench and Furnace Tools Yu-Ting Kao NTU
16:30 Breakthrough of 6% People Productivity Improvement via the Development of Cloud Monitor Yung-Ho Chen TSMC
Session — Engineering Excellence (II) Venue ─ Ballroom D 11/F 
13:30 Penetration behavior of airborne particles into wafer environment Masaki Hirano Toshiba
13:45 FOUP N2 Purge Engineering in Fab Yiting Kuo Macronix
14:00 Conversion from Helium to Nitrogen as a TEOS Carrier Gas in Sub-Atmospheric Chemical Vapor Deposition Tatsunori Murata Renesas
14:15 Study of Various Fittings Used on Bulk Chemical Supply System Yung-I Chang TSMC
14:30 Ultrasonic and Megasonic cleaning to remove Nano-Dimensional contaminants from various disk drive components Vetrimurugan Rajagopal Crest Ultrasonics
14:45 Study of Fab Tool Waste Drain Separation and Reduction Application in Bump Process Larry Lai TSMC
15:00 x-dimension of e-diagnosis loading for improve people productivity Hong Kai Lin TSMC
15:15 Break
15:45 Efficiency of Risk Reduction by Installation of Seismic Isolation System for Vertical Furnace Kaori Komoda Taisei
16:00 Quasi-Blind Voltage Contrast In e Beam Inspection Hsiang-Chou Liao Macronix
16:15 Holistic CD metrology for process control of Directed Self-Assembly Masafumi Asano Toshiba
16:30 Inspection Flow of Yield Impacting Systematic Defects Chimin Chen Macronix
16:45 A Novel Multiple Resolution APC on CMP and Litho-Etching Andy Tsen TSMC
17:00 Detecting Buried Voids in Copper Interconnect Hsiang-Chou Liao Macronix
17:15 Iterative Backward Elimination PLSR: A novel PLS-based modeling technique to eliminate noise components for VM solutions Naveen Neelapala TSMC
17:30 Adjourn
 Interactive Session Venue ─ Corridor Area 10/F 
Time Topic Speaker Association
09:00
~
17:00
28nm Back End Lithography Productivity Cycle Time Improvement 40% Rui-Pei Wang TSMC
Automatic defect detection and classification for color filter and micro-lens manufacturing Ying-Jen Chen NTHU
CVD chamber design to improve deposition quality in both 300- and 450-mm wafers with 3D-chamber modeling and experimental visual technique Ming-Han Liao NTU
Innovative Precise-Environment Design and Technology of Removing the Pollutant from a Clean Room Liang-Kun Zhu TSMC
Nonlinear analytic model for the strain field induced by thermal copper filled TSVs Ming-Han Liao NTU
Simulation Model and Multi-Criteria Decision Making for Layout Design of Cellular Manufacturing in a Solar Cell Manufacturer Taho Yang NCKU
Study of water contamination for Thermal process system Tomohito Matsuo TEL

**Please note that this Program is subject to change without notification.

TSIA